Applied & Tokyo Electron Plan Merger To Form A Stronger Entity

-0.56%
Downside
203
Market
202
Trefis
AMAT: Applied Materials logo
AMAT
Applied Materials

In a surprising move, Applied Materials (NASDAQ:AMAT) announced its merger with Tokyo Electron in an all-stock deal valued at more than $7 billion on September 24. Both companies supply equipment used to manufacture semiconductors, flat-panel displays and solar photovoltaic products. U.S. based Applied Materials is the world’s largest maker of semiconductor equipment by sales followed by ASML Holding NV and Tokyo Electron. [1] With an aim to form a global innovator in semiconductor and display manufacturing technology, the two companies will form a combined entity with an estimated valued of $29 billion. Climbing by 8% during afternoon trading, Applied’s stock price reached a five-year high on September 24.

As per the definite agreement signed by the two companies, Tokyo Electron shareholders will receive 3.25 shares of the new entity for every Tokyo Electron share held and Applied’s shareholders will receive 1 share for every Applied Materials share held. The deal has been unanimously approved by the boards of both the companies and subject to customary conditions, including approval by shareholders and review by regulators, is expected to close in the second half of 2014. After the close, Applied Materials & Tokyo Electron shareholders will own approximately 68% and 32% of the new company, respectively.

Incorporated in the Netherlands, the new company will have a new name, dual headquarters in Tokyo and Santa Clara and a dual listing on the Tokyo Stock Exchange as well as the NASDAQ. Though it will have a shared leadership team, both the CEO and CFO will come from Applied Materials.

Relevant Articles
  1. Rallying 30% YTD, What’s Spurring The Rally In Applied Materials’ Stock?
  2. Up 35% This Year, How Will Applied Materials Stock Trend Following Q4 Results?
  3. Up 50% This Year, Will Applied Materials Stock Continue To Outperform?
  4. What To Expect As Applied Materials Reports Q3 Earnings?
  5. What’s Happening With Applied Materials Stock?
  6. What To Expect From Applied Materials Q2 Results?

See our complete analysis of Applied Materials here

Weak Demand From Chipmakers Has Lowered Profits For Equipment Manufacturers

On account of lower capital spending by its customers. Applied’s net income has fallen steadily in the last two years and it reported losses in two quarters during that period. Tokyo Electron has also seen its profits stagnate in the past few years, primarily due to weak demand. In its fiscal 2012, the company’s net income declined by 84% y-0-y and operating income fell by 80% y-o-y. [2]

At $37.8 billion, the sales of chip making equipment dropped by 16% in 2012. [3] In order to produce more cost effective technologies, most U.S. chipmaker have either sold off or closed their factories and outsourced manufacturing to foundries such as Taiwan Semiconductor Manufacturing Co Ltd, in the last two decades. This in turn has lowered demand for semiconductor equipment.

On account of weak consumer demand, the capacity utilization in the semiconductor market declined to 81.2% and 79.2% in Q3 2012 and Q4 2012, respectively. However, with improving macro situation the demand from chipmakers is rising. Industry utilization has climbed to an estimated 80.5% and 82.5% in Q1  2013 and Q2 2013, respectively. [4]

Intel (NASDAQ:INTC), TSMC and Samsung (PINK:SSNLF), which account for roughly half of the total capital spending in the industry, have indicated strong capital investment plans for the current year and beyond with the aim to enhance their technological capabilities. All three have been the top three customers for Applied for many years and we think the merger places Applied in a stronger position to benefit from the higher spending.

How Applied Can Benefit From The Merger

The combined operations of Applied and Tokyo Electron will form a stronger entity.

Higher WFE share: The combined WFE share of Applied and Toyko Electron is estimated to be around 34%. ((Why the merger of two major chip equipment giants may not spur antitrust concerns, Venture Beat, September 24, 2013)) Though Gartner predicts the wafer fab equipment (WFE) market to decline by 11% in 2013, it believes the market will return to growth 2014 onward. [5]

–  Shared R&D Costs: The ongoing mobility trend is driving fundamental technology changes in the industry, which have become more difficult and complex over time. Merging its R&D capabilities with Tokyo Electron and bringing together complementary technologies will enable Applied to create an expanded set of capabilities and increase its opportunity to enable major future technology inflections and advance customers’ roadmaps in both semiconductor and display markets.

Broader Product Portfolio: Featuring among the three largest chip equipment firms, Applied and Tokyo Electron have fairly broad product portfolios. Though they do have some overlapping products, the two companies believe they will gain by leveraging each others expertise and technological prowess. By pooling knowledge and expertise, the two companies stand to improve their technological advantage.

Lower cost of developing chips: The semiconductor industry has consolidated due to the rising cost of developing cutting-edge chips, leading fewer manufacturers to buy the capital equipment sold by Applied Materials and its competitors. ASML Holding NV bought U.S.-based Cymer last year for about $2.5 billion, while Lam Research Corp bought smaller rival Novellus Systems Inc for $3.3 billion. [6] The combined expertise of Applied Materials and Tokyo Electron will help the new company tackle the rising cost of developing cutting-edge chips and slowing semiconductor demand.

“Materials innovation is the most significant lever for customers to drive cost-effective performance gains in mobile chips and displays. With the best and broadest capability in materials engineering, Applied Materials and Tokyo Electron believe this new company will be well-positioned to provide valuable, differentiated device performance and yield solutions that enable the new device architectures and cost-effective scaling that customers need to win.”

Understand How a Company’s Products Impact its Stock Price at Trefis

Notes:
  1. RPT-UPDATE 2- Applied Materials to buy Tokyo Electron, create $29 bln company, Reuters, September 24, 2013 []
  2. Applied Materials Merger Looks Like a Bargain, 24/7 Wall St, September 24, 2013 []
  3. Applied Materials at 5-year highs after $9B Tokyo Electron Deal, Silicon Valley Business Journal, September 25, 2013 []
  4. Intel, TSMC And Samsung Are Driving Semiconductor Capital Spending In 2013, Seeking Alpha, May 20, 2013 []
  5. Gartner Says Worldwide Wafer Fab Equipment Spending to Decline 9.7 Percent in 2013, Gartner Newsroom, December 18, 2012 []
  6. Applied Materials to buy Tokyo Electron for $9 billion, Yahoo News, September 24, 2013 []