Applied Materials Still Looks Cheap After Factoring In Downside Risks

-2.77%
Downside
208
Market
202
Trefis
AMAT: Applied Materials logo
AMAT
Applied Materials

Applied Materials (NASDAQ:AMAT) provides manufacturing equipment, services and software to manufacturers of semiconductor wafers and chips. With a slowdown in the overall semiconductor market, the company’s revenues took a 4% hit last year as major manufacturers including Intel (NASDAQ:INTC) and Samsung cut down on their capital expenditure. However, with a revival in sight and strong company fundamentals we are positive on the long term outlook of the company. (See Our Related Article)

Silicon wafer fab equipment and related services account for 84% of our price estimate of $14.38. Since our valuation for Applied stands at a premium of around 40% to the current market price, lets have a look at some likely trends in the semiconductor equipment market that might negatively impact our valuation for the company –

1. Late Revival In Semiconductor Equipment Spending (-15%):

Relevant Articles
  1. Rallying 30% YTD, What’s Spurring The Rally In Applied Materials’ Stock?
  2. Up 35% This Year, How Will Applied Materials Stock Trend Following Q4 Results?
  3. Up 50% This Year, Will Applied Materials Stock Continue To Outperform?
  4. What To Expect As Applied Materials Reports Q3 Earnings?
  5. What’s Happening With Applied Materials Stock?
  6. What To Expect From Applied Materials Q2 Results?

On account of weak market conditions in the second half of 2011, we witnessed a pull back in expansion plans by most semiconductor manufacturers. The situation persisted during the first half of 2012 as well, thus leading to a pessimistic outlook for equipment spending for the current year. However, we are of the view that with growing economic stability, the PC growth rate will bounce back and the latter part of 2012 will mark an improvement in capital spending. Thus, we estimate the semiconductor equipment market to grow at around 11% in 2013.

However, in the likely scenario of the estimated increase in capacity expansion plans slipping from the second half of 2012 to early or mid 2013, there could be a slight downside to our price estimate. If the semiconductor equipment market increases to only $35 billion by 2013, there will be an 15% downside to our price estimate.

2. Lower Gross Margins For Silicon Wafer Fab Equipment (-10%):

Historically, silicon wafer fab equipment gross margins have averaged around 55% of the total revenue. The company sets its pricing to match the transistor cost reduction it gives customers so that despite higher tool costs, the increased transistors allows its customers to be at least as profitable. The gross margins for the silicon wafer equipment have declined by close to 10% in the last four years. We see no significant change in Applied’s pricing policy; and therefore forecast gross margins to remain around 50% throughout our forecast period.

However, there is a possibility of the decreasing fab utilization rates putting a downward pressure on the gross margins. Considering the cyclical nature of capital spending for equipments, the situation of reduced semiconductor equipment spending is likely to reappear in future. The reduced spending in the industry could force equipment manufacturers like Applied to cut prices in order to push sales of their products. In case the margins were to fall to 42%, there would be a 10% downside to our price estimate.

3. Constant Share Of Services Revenue In Total Semiconductor Expenditure (-5%):

Applied Global Services offers solutions for automation, yield improvement, factory and yield management, all of which helps manufacturers become cost-effective in periods of downturn as well as assists them in setting up new fabrication units in periods of capacity expansion. Historically, Applied’s revenue share in total semiconductor capex increased from 4% in 2007 to 6% in 2009, but fell back to 4% by 2011.

As the demand for energy efficient and green solutions increases along with a growing number of manufacturers looking to cut costs and improve efficiencies, we estimate Applied’s service revenue share to go back to the historical level of 5-6%. However, if Applied’s services revenue share in total semiconductor expenditure remains around 4%, we could see a 5% downside in our price estimate.

4. Competitive Pricing In Global Services To Penetrate The Korean Market (-5%):

Historically, the gross margin for Applied’s global services have declined from 49% in 2007 to 44% in 2011. With a slowdown in spending for capacity addition, manufacturers might look towards other solutions to lower their costs and increase the efficiency of their manufacturing units. Assuming this to work in Applied’s favor, we estimate it to maintain strong pricing for its services leading to an increase in margins to around 47% by the end of our forecast.

On the flip side, a large number of wafer starts are based out of Korea, where the service-revenue-per-wafer-start has been lower than other regions. To increase its penetration in the Korean market, Applied might restore to more competitive pricing which could hurt its gross margin in the long run. If the gross margins on an average fall down to 40% by the end of our forecast period, there could be a 5% downside to our current price estimate.

If we assume the simultaneous occurrence of all the above mentioned factors, there will be an 23% downside to our price estimate, still leaving the valuation at a slight premium to the current market price.

See our complete analysis for Applied Materials here

Understand How a Company’s Products Impact its Stock Price at Trefis

Heey