Applied Materials Calls Off Its Merger With Tokyo Electron Due To Regulatory Snag

-20.74%
Downside
201
Market
159
Trefis
AMAT: Applied Materials logo
AMAT
Applied Materials

More than eighteen months after announcing a deal to acquire its Japanese rival Tokyo Electron (TEL), U.S. based semiconductor equipment manufacturer, Applied Materials (NASDAQ: AMAT) announced yesterday that the deal will not go through due to regulatory concerns. Applied Materials announced an agreement to buy Tokyo Electron in September 2013 in an all-stock deal valued at nearly $9.3 billion. In February 2014, Applied Materials announced that this strategic merger would result in the formation of a new company called Eteris. Gary Dickerson, the current CEO of Applied Materials, was expected to head Eteris from Japan. The two companies geared for the merger as demand for their product slowed and turning a profit became tougher. Year-to-date, Tokyo Electron’s stock has fallen 17% while Applied Materials is down 13%. [1]

The two companies said the decision for scrapping the deal came after the U.S. Department of Justice told the companies that their proposals for a combined business were not good enough to replace the competition lost from a merger. No termination fees will be payable by either party, added AMAT. Applied Materials announced plans to buy back $3 billion of stocks, while Tokyo Electron, will purchase 120 billion yen ($1 billion) of its own shares. AMAT’s president and CEO Gary Dickerson said: “We viewed the merger as an opportunity to accelerate our strategy and worked hard to make it happen.” The future of the deal between the two companies was put into doubt earlier this year when the merger plan was pushed back due to regulatory approval issues in a number of countries.

Had the merger been completed, it was expected to result in $250 million in cost savings by the end of the first fiscal year of the new entity, Eteris. Applied Materials expected total savings to grow to $500 million by the third fiscal year of Eteris’s existence. According to Gartner, [2] the combined entity would have accounted for 25.5% of the semiconductor equipment market. Currently, ASML Holdings (ASML), Lam Research (LRCX), and KLA Tencor (KLAC) have a 12.8%, 7.4%, and 6.5% market share of the semiconductor equipment market, respectively, while Applied has a 16.2% market share.

Relevant Articles
  1. Up 35% This Year, How Will Applied Materials Stock Trend Following Q4 Results?
  2. Up 50% This Year, Will Applied Materials Stock Continue To Outperform?
  3. What To Expect As Applied Materials Reports Q3 Earnings?
  4. What’s Happening With Applied Materials Stock?
  5. What To Expect From Applied Materials Q2 Results?
  6. How Is The Capital Spending Theme Faring?

Applied’s management expected the company to maintain its wide economic moat after merging with TEL. The combination of the firms would have bolstered the new company’s overall competitive position and enhanced its status as the nearest thing to a one-stop shop for front-end equipment for chipmakers. As a result, Applied-TEL would have not only been a more robust and comprehensive product line, but also greater technical expertise across a larger number of the process steps involved in semiconductor manufacturing.

Our price estimate of $22 for Applied Materials is approximately in line with the current market price.

See our complete analysis of Applied Materials here

Applied-TEL Would Have Been Better Positioned in Key Etch and Deposition Segments

The scrapping of AMAT-TEL merger will affect AMAT’s position in key etched and deposition segment. Although Applied (~47%) has significantly more deposition market share than TEL (~12%), the company had opportunities to fill out its product portfolio and strengthen its competitive position with the merger. The other key player, LAM has already merged with major deposition company Novellus in June 2012 to gain a substantial presence in the segment. Applied is clearly a laggard in the etch segment while it is the leader in dielectric etch. [3] By teaming up, Applied and TEL expected to close the gap with LAM (number-one position in silicon etch and metal etch) in terms of total etch market share.

Steady growth is expected in the etch and deposition segments. The opportunities are fueled by technologically-driven demand. The global etch and deposition segments combined are expected to increase to $35 billion in 2015 and $37 billion in 2016. [4] Applied estimated that etch and deposition spending will increase to more than 20% in 2015, even larger than what was in 2014.

Merger Would Have Made Sense From Customer Consolidation Perspective

Historically, TEL had a strong competitive position in Japan, while Applied had more of a global presence (excluding Japan). Nonetheless, the decline over the years in the number of semiconductor firms that can afford to have their own chip fabrication plants has reduced the customer base for the industry. In 2012, three chipmakers–Intel, Samsung, and Taiwan Semiconductor Manufacturing, accounted for just over 50% of total capital spending in the semiconductor industry, while eight chipmakers made up half of total capital expenditures in 2005, according to Gartner.   [3] This customer consolidation has resulted in some large mergers and acquisitions in recent years, such as Applied’s acquisition of leading ion implant tool supplier Varian Semiconductor in 2011 and Lam Research’s merger with Novellus in 2012. Applied and TEL merger could have been viewed as another chip equipment deal to create synergies in response to a shrinking customer base.

Applied Materials, Tokyo Electron and Dutch maker ASML Holding NV are the three largest players in an industry that has consolidated as the rising cost of developing cutting-edge chips and slowing semiconductor demand forced alliances and acquisitions.

Even though it’s too early to comment on the ramifications of calling off the merger would have, the unraveling of the Applied-Tokyo would be worse for Tokyo Electron, as takeover targets often lose management focus, and customers, during the negotiation period. CEO Higashi said Tokyo Electron would be “flexible in considering alliances with others in the future.

View Interactive Institutional Research (Powered by Trefis):

Global Large CapU.S. Mid & Small CapEuropean Large & Mid Cap
More Trefis Research

More than eighteen months after announcing a deal to acquire its Japanese rival Tokyo Electron (TEL), US manufacturer Applied Materials
Notes:
  1. Read Press Release Here []
  2. Applied Materials: A detailed business overview, Market Realist, January 12th, 2015 []
  3. A Deeper Look at the Applied Materials-Tokyo Electron Merger, Yahoo Finance, December 13th, 2013 [] []
  4. An overview of Applied Materials, Market Realist, January 12th, 2015 []